autoVHDL: a domain-specific modeling language for the auto-generation of VHDL core wrappers

TitleautoVHDL: a domain-specific modeling language for the auto-generation of VHDL core wrappers
Publication TypeConference Paper
Year of Publication2011
AuthorsJones, E, Sprinkle, J
Conference NameProceedings of the compilation of the co-located workshops on DSM’11, TMC’11, AGERE!’11, AOOPES’11, NEAT’11, &\#38; VMIL’11
PublisherACM
Conference LocationNew York, NY, USA
ISBN Number978-1-4503-1183-0
Keywordscode synthesis, domain-specific modeling, embedded systems, reconfigurable computing
Abstract

Reconfigurable embedded hardware is a staple of many applications in defense technology and applied engineering. The integration of various embedded hardware "cores" (i.e., the computing units) is complicated by the unintended complexities inherent in the consistent and correct construction of communication pathways–-specified using VHDL. This paper presents a domain-specific modeling approach to reducing this complexity. The results include demonstration of the tool, where generated VHDL code with complex data and processing requirements is simulated.

URLhttp://dx.doi.org/10.1145/2095050.2095063
DOI10.1145/2095050.2095063